您尚未登录。

#3 Re: DIY/综合/Arduino/写字机/3D打印机/智能小车/平衡车/四轴飞行/MQTT/物联网 » 沁恒ch565w测试 USB3.0速度和千兆以太网速度 » 2022-09-29 11:10:42

david 说:

有3.8G的fpga不能自己跑usb么

还真不一定行,就算是一个接口软核也要用很多LUT资源。

#6 Re: Xilinx/Altera/FPGA/CPLD/Verilog » PICO XVC下载器 » 2022-09-13 16:32:38

大佬确实牛。赶快找出买了多年的pico试试看了。
相当有想法啊。

#7 Re: RK3288/RK3399/RK1108 » rk1126驱动tlv320aic3104没有mclk时钟 » 2022-08-31 21:47:57

tlv320aic3104支持从bclk产生mclk,可以参考一下器件user guide.

#8 Re: Xilinx/Altera/FPGA/CPLD/Verilog » 分享一个CH552可用的USB-Blaster固件 » 2022-08-29 08:57:18

明显楼主的是CH552G。ch552g和ch552t应该完全兼容,只是CH552T多了几个管脚而已。

#9 Re: Xilinx/Altera/FPGA/CPLD/Verilog » 分享一个CH552可用的USB-Blaster固件 » 2022-08-26 19:54:22

文件下载: ch552-Usb-Blaster

抱歉忘记传了。
是用PADS2005画的,现在验证能正常工作。
连接器我改成1.27 10p排针了。
IO电压3.3V。

%E5%AE%9E%E7%89%A9%E4%BB%BF%E7%9C%9F%E5%9B%BE.png

#10 Re: 感芯科技 » 【代码分享】MC3172大战WS2812B » 2022-08-19 17:43:51

大佬威武。
多来几路就可以做个LED显示屏了。

#19 Re: Xilinx/Altera/FPGA/CPLD/Verilog » 想用国产FPGA真不容易 » 2022-05-06 23:03:03

AGM的用着很好啊,我找到了他们的FAE,大部分问题都会回的。其实芯片也没多复杂给的资料也够用了。工具除了简陋了点,但完全够用,license无限用。
高云我也确实头疼这个license的问题,我之前都是借用我大学的名义去申请,人家反应很慢最后倒也回我了,就是一年找一次真折腾。
安路的license也是比较容易要到的,只不过现在芯片有点难买到了。
其实还有易灵思的,不过我还没深入去了解,感觉也不错。

#21 Re: ST/STM8/STM8S/STM8L » stm8替换国产 » 2022-03-21 13:33:35

HC89S003,N76E003,APT32F003F4P6,HC32F005C6PA,HC32L110C6PA。。。等
管脚可以兼容,但内核外设都不一样,肯定要重写代码。

#22 Re: 全志 SOC » 网站需要改革,放开文件下载权限(不需要与积分挂钩) » 2022-01-13 11:26:20

支持积分下载。
这年头白嫖的人太多了,要是没人贡献其实白嫖也没得白嫖了。
白嫖不反馈也就算了,最可气的白嫖了还回过来说坏话的。
__的论坛我已经弃坑了,收钱后乱成一团,而且无明确原因把我用了多年的账号清掉了。一点都不道德。反正上面的大牛很多都跑了另起炉灶了。
这里是片净土,希望能长长久久地发展下去。

#23 Re: DIY/综合/Arduino/写字机/3D打印机/智能小车/平衡车/四轴飞行/MQTT/物联网 » 孔雀石 SDR STM32H7-SDR 验证成功 » 2020-09-09 00:58:35

MSI001支持中频和零中频两种模式。零中频模式应该可以用音频Codec采样IQ。不过96kHz还不能完整采样WBFM,最好用192kHz的。但做个窄带短波机应该没问题。

#25 Re: DIY/综合/Arduino/写字机/3D打印机/智能小车/平衡车/四轴飞行/MQTT/物联网 » 孔雀石 SDR STM32H7-SDR 验证成功 » 2020-09-07 14:05:31

其实一直在想有没有可能用F1C100/200或者其它同样便宜的芯片代替这个stm32h743……可能涉及到大量浮点运算。

#27 Re: Xilinx/Altera/FPGA/CPLD/Verilog » 分享一个国产CPLD/FPGA芯片资料:AGM的AG1280Q48 » 2020-05-14 17:54:13

达克罗德 说:

FPGA一般大家都用来干什么?我想玩但是感觉没应用场景

之前遇到过个通讯接口时序特殊没有现成的芯片,速率又高不好做软件模拟,用CPLD搞定的。

#28 Re: Xilinx/Altera/FPGA/CPLD/Verilog » 分享一个国产CPLD/FPGA芯片资料:AGM的AG1280Q48 » 2020-05-14 17:52:42

liyucai 说:

这些小 CPLD 可以用在 MCU 的外围,比方扩充几个 UART 口。
搜了下, 有一颗  XC2C32A-6VQG44C 也很便宜,是 QFP-44 封装。

不过XC2C32才32个宏单元,真的太小了……而且已经很老很老了。

#29 Re: Xilinx/Altera/FPGA/CPLD/Verilog » 分享一个国产CPLD/FPGA芯片资料:AGM的AG1280Q48 » 2020-05-14 17:50:45

hexenzhou 说:

谢谢分享,这芯片内部是不是有个M3内核的MCU?

这个没有,带MCU内核的型号是AG10KL144M3。

#30 Re: Xilinx/Altera/FPGA/CPLD/Verilog » 分享一个国产CPLD/FPGA芯片资料:AGM的AG1280Q48 » 2020-05-13 23:24:00

需要用原厂的工具Supra和QuartusII配套用,实际上QuartusII完成了Verilog的综合,布线还是靠Supra完成的。
但确实很兼容,连下载器就是直接用USB Blaster的。

#32 Re: ESP32/ESP8266 » 分享一个自己做的wifi时钟代码 » 2020-05-13 20:41:43

应广大坛友要求晒上丑陋的高清大照……
IMG_20200513_203003.jpg
IMG_20200513_203036.jpg
IMG_20200513_203126.jpg
IMG_20200513_203145.jpg
IMG_20200513_203205.jpg
IMG_20200513_203218.jpg
IMG_20200513_203235.jpg
IMG_20200513_203339.jpg

#33 ESP32/ESP8266 » 分享一个自己做的wifi时钟代码 » 2020-05-13 01:04:42

sven1234
回复: 12

买了个VFD屏,无聊做了个时钟,但感觉用RTC自己跑时间久了总是不准,所以用ESP8266做了个NTP Client并且分解了年月日。
代码如下。写得有点粗糙见笑了。刚入坛,多见谅。


#include <ESP8266WiFi.h>
#include <WiFiUdp.h>

char ssid[] = "SSID";  //  your network SSID (name)
char pass[] = "password";       // your network password

unsigned int localPort = 2390;      // local port to listen for UDP packets

IPAddress timeServerIP; 
const char* ntpServerName = "202.118.1.130";//"1.cn.pool.ntp.org";
const int NTP_PACKET_SIZE = 48; // NTP time stamp is in the first 48 bytes of the message
byte packetBuffer[ NTP_PACKET_SIZE]; //buffer to hold incoming and outgoing packets
WiFiUDP udp;


// send an NTP request to the time server at the given address
unsigned long sendNTPpacket(IPAddress& address)
{
  Serial.println("sending NTP packet...");
  // set all bytes in the buffer to 0
  memset(packetBuffer, 0, NTP_PACKET_SIZE);
  // Initialize values needed to form NTP request
  // (see URL above for details on the packets)
  packetBuffer[0] = 0b11100011;   // LI, Version, Mode
  packetBuffer[1] = 0;     // Stratum, or type of clock
  packetBuffer[2] = 6;     // Polling Interval
  packetBuffer[3] = 0xEC;  // Peer Clock Precision
  // 8 bytes of zero for Root Delay & Root Dispersion
  packetBuffer[12]  = 49;
  packetBuffer[13]  = 0x4E;
  packetBuffer[14]  = 49;
  packetBuffer[15]  = 52;

  // all NTP fields have been given values, now
  // you can send a packet requesting a timestamp:
  udp.beginPacket(address, 123); //NTP requests are to port 123
  udp.write(packetBuffer, NTP_PACKET_SIZE);
  udp.endPacket();
}

void setup()
{
  Serial.begin(9600);
  Serial.println();
  Serial.println();

  // We start by connecting to a WiFi network
  Serial.print("Connecting to ");
  Serial.println(ssid);
  WiFi.begin(ssid, pass);
  
  while (WiFi.status() != WL_CONNECTED) {
    delay(500);
    Serial.print(".");
  }
  Serial.println("");
  
  Serial.println("WiFi connected");
  Serial.println("IP address: ");
  Serial.println(WiFi.localIP());

  Serial.println("Starting UDP");
  udp.begin(localPort);
  Serial.print("Local port: ");
  Serial.println(udp.localPort());
}

unsigned char rmdp[12]={0,31,59,90,120,151,181,212,243,273,304,334};
unsigned char rmdr[12]={0,31,60,91,121,152,181,213,244,274,305,335};
void loop()
{
  //get a random server from the pool
  WiFi.hostByName(ntpServerName, timeServerIP); 

  sendNTPpacket(timeServerIP); // send an NTP packet to a time server
  // wait to see if a reply is available
  delay(1000);
  
  int cb = udp.parsePacket();
  if (!cb) {
    Serial.println("no packet yet");
  }
  else {
    Serial.print("packet received, length=");
    Serial.println(cb);
    // We've received a packet, read the data from it
    udp.read(packetBuffer, NTP_PACKET_SIZE); // read the packet into the buffer

    //the timestamp starts at byte 40 of the received packet and is four bytes,
    // or two words, long. First, esxtract the two words:

    unsigned long highWord = word(packetBuffer[40], packetBuffer[41]);
    unsigned long lowWord = word(packetBuffer[42], packetBuffer[43]);
    // combine the four bytes (two words) into a long integer
    // this is NTP time (seconds since Jan 1 1900):
    unsigned long secsSince1900 = highWord << 16 | lowWord;
    secsSince1900=secsSince1900+28800;
    Serial.print("Seconds since Jan 1 1900 = " );
    Serial.println(secsSince1900);
    
    unsigned long second=secsSince1900%60;
    unsigned long minute=secsSince1900/60%60;
    unsigned long hour=secsSince1900/3600%24;

    unsigned long num_date=secsSince1900/86400;
    unsigned long num_four_year=(num_date-365)/1461;
    unsigned long last_date=(num_date-365)%1461;
    unsigned long last_num_year=last_date/365;

    unsigned long last_year_date=last_date-last_num_year*365;

    unsigned long year=1901+num_four_year*4+last_num_year;
    unsigned long month=last_year_date/30+1;
    unsigned long date=0;
    if(last_num_year==3){
      date=last_year_date-rmdr[month-1]+1;
    }else{
      date=last_year_date-rmdp[month-1]+1;
    }
    unsigned long day=num_date%7+1;
    
    Serial.print(year);
    Serial.print("-");
    Serial.print(month);
    Serial.print("-");
    Serial.print(date);
    Serial.print("  ");
    Serial.print(day);
    Serial.print("  ");
    Serial.print(hour);
    Serial.print(":");
    Serial.print(minute);
    Serial.print(":");
    Serial.println(second);   
/////////////////////////////////////////////////////////////////////////////////////////////////
    Serial.print("CMD");
    Serial.write(year%100/10);Serial.write(year%10);
    Serial.write(month/10);Serial.write(month%10);
    Serial.write(date/10);Serial.write(date%10);
    Serial.write(day);
    Serial.write(hour/10);Serial.write(hour%10);
    Serial.write(minute/10);Serial.write(minute%10);
    Serial.write(second/10);Serial.write(second%10);
    
    Serial.write(0x0d);Serial.write(0x0a);
    
  }
  // wait ten seconds before asking for the time again
  delay(10000);
}

#34 Xilinx/Altera/FPGA/CPLD/Verilog » 分享一个国产CPLD/FPGA芯片资料:AGM的AG1280Q48 » 2020-05-13 00:50:47

sven1234
回复: 15

最近因为有个小项目需要一个尺寸小资源也不多的CPLD/FPGA芯片,找了很久无意中发现了这个AGM的AG1280Q48,用得感觉甚好。
特此分享点资料。
芯片价格也不贵,淘宝上零售的也就6块不到。
Feature:
LUTs:1280
Distributed RAM (Kbits):10
EBR SRAM (Kbits):68
Maximum User I/O pins:40
Number of PLLs:1
Package:48-Pin QFN

小小芯片,资源比EPM240是多不少了。
今天就先传点收集的资料了,日后再发点Demo上来。

资料如下:
377988831AG1280Q48_SCH_开发板原理图_备注说明.pdf
MANUAL_Supra_6_1.pdf
MANUAL_AG1280.pdf
C133767_AG1280Q48托盘_2017-11-13.pdf

淘宝上买的板子
放开发板链接不是发广告,我的资料都是问这个老板要的,老板人还行。但老实说这个板子设计得真是有点……

#35 Re: RISC-V » 刚收到货的 诛仙剑 C-SKY Linux 开发板 » 2020-05-11 18:12:58

我也买了一块,吃灰好久了。其实挺喜欢这个小巧的芯片,就是不知道哪里能买到。

#36 Re: Xilinx/Altera/FPGA/CPLD/Verilog » 分享矿板接OV2640摄像头代码 » 2020-05-11 18:11:07

感谢分享。这个是跑Linux了吗?买了好几个矿板我一直没把SDRAM弄好。……没积分了还不能下载文件。

页脚

工信部备案:粤ICP备20025096号 Powered by FluxBB

感谢为中文互联网持续输出优质内容的各位老铁们。 QQ: 516333132, 微信(wechat): whycan_cn (哇酷网/挖坑网/填坑网) service@whycan.cn